• 回复
  • 收藏
  • 点赞
  • 分享
  • 发新帖

上传个TL494的中文PDF,做了里面一个电路的验证实验,有很多疑问,请大家指教

我做的是第10页的脉宽调制降压调制电路.唯一改变的是把取样部分那个500 10V的该成一个5K的电位器.做出来的电路有很多问题,希望能得到大家的指教.
一 不论输出电压调到多少,8脚和11脚输出的波形是一个占空比很低的矩形波.
二 外加电压信号到3脚或四脚(1脚接地)能得到占空比变化的矩形波,但不能调节输出电压
三 断开1脚的反馈,给1脚加电压信号.小于等于2脚的电压时3脚输出低0.08V,高于它时输出4.7V.片内的误差放大器变成了比较器.
四 15,16脚的电路不起保护作用.
五 输出电压可调,但不论怎么调3脚的电压不变,8脚11脚输出波形不变
六 改变负载,3脚的电压改变,如空载3脚为4.7V,100欧为3.5V 1850921185001974.pdf 500) {this.resized=true; this.width=500; this.alt='这是一张缩略图,点击可放大。\n按住CTRL,滚动鼠标滚轮可自由缩放';this.style.cursor='hand'}" onclick="if(!this.resized) {return true;} else {window.open('http://u.dianyuan.com/bbs/u/55/1850921185019793.bmp');}" onmousewheel="return imgzoom(this);">
全部回复(10)
正序查看
倒序查看
power1213
LV.5
2
2007-07-21 13:29
你上傳的資料呢? 傳上來分析撒
0
回复
szhpgk
LV.1
3
2007-07-21 14:15
英文的也是一样的.
0
回复
diy000
LV.2
4
2007-07-21 15:12
不好意思,刚搞错了,没把文件上传好.
1850921185001933.rar
0
回复
diy000
LV.2
5
2007-07-24 08:49
没人帮我分析一下吗???
0
回复
flyingfox
LV.4
6
2007-09-05 23:35
@diy000
没人帮我分析一下吗???
我也遇到了同样的问题,不知楼上的解决了没有
0
回复
szhpgk
LV.1
7
2007-09-06 11:20
@flyingfox
我也遇到了同样的问题,不知楼上的解决了没有
请问你用的是什么品牌的,
这也是很重要的.
0
回复
flyingfox
LV.4
8
2007-10-10 16:39
@szhpgk
请问你用的是什么品牌的,这也是很重要的.
74系列的
0
回复
szhpgk
LV.1
9
2007-10-11 10:04
@flyingfox
74系列的
TL494应该是一个电源管理IC哟.
0
回复
Arenas
LV.2
10
2011-05-01 15:22
@diy000
不好意思,刚搞错了,没把文件上传好.1850921185001933.rar
好资料,谢谢了!
0
回复
a364796575
LV.6
11
2011-09-08 15:49
@diy000
不好意思,刚搞错了,没把文件上传好.1850921185001933.rar
0
回复